Welcome![Sign In][Sign Up]
Location:
Search - fpga sram

Search list

[VHDL-FPGA-Verilogvideodigitalsignalscontroller

Description: 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
Platform: | Size: 8192 | Author: yan | Hits:

[VHDL-FPGA-VerilogCY7c68013_FPGA_Read_Sram

Description: FPGA读SRAM中的数再传给CY7C68013-Reading SRAM in the FPGA, then pass on a few CY7C68013
Platform: | Size: 269312 | Author: | Hits:

[VHDL-FPGA-VerilogCY7c68013_fpga_write_sram

Description: FPGA将从CY7C68013读到的数写入SRAM-FPGA will read a few CY7C68013 write SRAM
Platform: | Size: 286720 | Author: | Hits:

[VHDL-FPGA-VerilogFPGA_write_sram

Description: FPGA向SRAM中写入数据,VHDL编程-FPGA to the SRAM write data, VHDL programming
Platform: | Size: 262144 | Author: | Hits:

[VHDL-FPGA-VerilogSRAM

Description:
Platform: | Size: 1024 | Author: | Hits:

[Software EngineeringFPGA_SDR_Sdram_LED

Description: 针对主控制板上存储器(SRAM) 存储的数据量小和最高频率低的情况,提出了基于SDR Sdram(同步动态RAM) 作为主存储器的LED 显示系统的研究。在实验中,使用了现场可编程门阵列( FPGA) 来实现各模块的逻辑功能。最终实现了对L ED 显示屏的控制,并且一块主控制板最大限度的控制了256 ×128 个像素点,基于相同条件,比静态内存控制的面积大了一倍,验证了动态内存核[7 ]的实用性。-For the main control board memory (SRAM) a small amount of stored data and the highest frequency of low, based on SDR Sdram (Synchronous Dynamic RAM) as the main memory of the LED display systems. In the experiment, the use of field programmable gate array (FPGA) to realize the logic function of each module. The eventual realization of L ED display control, and a master control panel to maximize the control of the 256 × 128 pixels point, based on the same conditions than the static memory control area has doubled, to verify the dynamic memory of nuclear [7 ] the practicality.
Platform: | Size: 510976 | Author: 郑宏超 | Hits:

[VHDL-FPGA-Verilogsram_control

Description: verilog编写fpga与片外SRAM通信模块-Verilog FPGA with the preparation of SRAM chip communication module
Platform: | Size: 418816 | Author: 宇天 | Hits:

[VHDL-FPGA-Verilogsram

Description: FPGA向SRAM中写入数据(VHDL编程),包含通用fifo,sram等-FPGA to the SRAM write data (VHDL programming), contains general fifo, sram, etc.
Platform: | Size: 270336 | Author: 王刚 | Hits:

[VHDL-FPGA-VerilogFGPA-SRAM-Programe

Description: FPGA编程方法介绍,方便学习VHDL,公供大家参考-fpga programe medoth, study hardware vhdl language
Platform: | Size: 2048 | Author: richardz | Hits:

[Otherfpga-based-system-design-chapter3

Description: In this chapter we will study the basic structures of FPGAs, known as fabrics. We will start with a brief introduction to the structure of FPGA fabrics. However, there are several fundamentally different ways to build an FPGA. Therefore, we will discuss combinational logic and interconnect for the two major styles of FPGA: SRAM-based and antifuse-based. The features of I/O pins are fairly simi- lar among these two types of FPGAs, so we will discuss pins at the end of the chapter.
Platform: | Size: 388096 | Author: Frank | Hits:

[VHDL-FPGA-Verilogsram

Description: 基于FPGA的SRAM控制程序,里面附加了在线逻辑分析功能的程序,调试时相当的方便-SRAM-based FPGA-control program, which added an online feature of the program logic analysis, debugging very convenient when
Platform: | Size: 1752064 | Author: 李成有 | Hits:

[VHDL-FPGA-Verilogsram

Description: SRAM控制器,含整个工程 vSRAM控制器,含整个工程 SRAM控制器,含整个工程-SRAM SRAMSRAMSRAMSRAMSRAMSRAMSRAMSRAM
Platform: | Size: 236544 | Author: leee | Hits:

[VHDL-FPGA-Verilogsram

Description: a verilog sram code. use it to manipulate sram on fpga
Platform: | Size: 1024 | Author: DCLAB | Hits:

[VHDL-FPGA-VerilogSRAM

Description: FPGA控制SRAM的VERILOG源码-The VERILOG source code control SRAM FPGA
Platform: | Size: 1024 | Author: pan | Hits:

[VHDL-FPGA-VerilogSRAM-FPGA

Description: 用FPGA实现SRAM读写控制的Verilog代码-SRAM FPGA implementation using Verilog code to read and write control
Platform: | Size: 13312 | Author: austin | Hits:

[VHDL-FPGA-VerilogVerilog-SRAM

Description: 用verilog hdl语言编写的fpga与片外sram 的读写控制-With the verilog hdl language fpga sram chip with read and write control
Platform: | Size: 57344 | Author: yishuihan | Hits:

[VHDL-FPGA-Veriloguse-CPLD-SRAM--driving-TFT-lcd

Description: 用CPLD+SRAM驱动数字TFT屏的例子,希望对大家有所帮助-With CPLD+ SRAM drive digital TFT screen example, we want to help
Platform: | Size: 2048 | Author: dengde | Hits:

[OtherFPGA-SRAM

Description: FPGA 实验、SRAM 读写实验,达尔EDA 实验室EP2C5 型或EP2C8 型FPGA/SOPC 实验板—dl2c58c_v3-Experimental FPGA, SRAM read and write experimental, Total the EDA lab EP2C5 type or the EP2C8 type FPGA/SOPC experimental board-dl2c58c_v3
Platform: | Size: 240640 | Author: sb | Hits:

[VHDL-FPGA-VerilogSram(v0.2.20090115)

Description: SRAM FPGA编程 CYLOON2系列均可使用-SRAM FPGA programming CYLOON2 series can be used
Platform: | Size: 11767808 | Author: simon greenhall | Hits:

[VHDL-FPGA-Verilogsram

Description: FPGA 读写 SRAM 存储块,verilog代码(Read and write SRAM memory block and Verilog code in FPGA)
Platform: | Size: 1379328 | Author: bin_mm3 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net